Click Here

Monday 29 February 2016

Programmable Logic

Programmable Logic In general, the required logic for a PLD is developed with the aid of a computer. The logic can be entered u... thumbnail 1 summary

Programmable Logic

In general, the required logic for a PLD is developed with the aid of a computer. The logic can be entered using a Hardware Description Language (HDL) such as VHDL. Logic can be specified to the HDL as a text file, a schematic diagram, or a state diagram.
Exmaple :
A text entry for a programming a PLD in VHDL as a 2-input NAND gate is shown for reference in the following slide. In this case, the inputs and outputs are first specified. Then the signals are described. Although you are probably not familiar with VHDL, you can see that the program is simple to read.

2 comments